vhdl_wrapper

Types

VhdlNodeKind = enum
  vhdlPSLActualParameter,   ## PSL_Actual_Parameter
  vhdlPSLActualParameterList, ## PSL_Actual_Parameter_List
  vhdlPSLAmbiguousInstance, ## PSL_Ambiguous_Instance
  vhdlPSLAnyType,           ## PSL_Any_Type
  vhdlPSLAssertDirective,   ## PSL_Assert_Directive
  vhdlPSLAssumeDirective,   ## PSL_Assume_Directive
  vhdlPSLAssumeGuaranteeDirective, ## PSL_Assume_Guarantee_Directive
  vhdlPSLBoolean,           ## PSL_Boolean
  vhdlPSLBoundingFLProperty, ## PSL_Bounding_FL_Property
  vhdlPSLBracedSERE,        ## PSL_Braced_SERE
  vhdlPSLBuiltInFunctionCall, ## PSL_Built_In_Function_Call
  vhdlPSLClockDeclaration,  ## PSL_Clock_Declaration
  vhdlPSLClockedFLProperty, ## PSL_Clocked_FL_Property
  vhdlPSLClockedSERE,       ## PSL_Clocked_SERE
  vhdlPSLCompoundSERE,      ## PSL_Compound_SERE
  vhdlPSLConstantParameterSpecification, ## PSL_Constant_Parameter_Specification
  vhdlPSLCount,             ## PSL_Count
  vhdlPSLCoverDirective,    ## PSL_Cover_Directive
  vhdlPSLExpression,        ## PSL_Expression
  vhdlPSLExtendedOcurrenceFLProperty, ## PSL_Extended_Ocurrence_FL_Property
  vhdlPSLFactorFLProperty,  ## PSL_Factor_FL_Property
  vhdlPSLFairnessDirective, ## PSL_Fairness_Directive
  vhdlPSLFormalParameter,   ## PSL_Formal_Parameter
  vhdlPSLFormalParameterList, ## PSL_Formal_Parameter_List
  vhdlPSLHDLType,           ## PSL_HDL_Type
  vhdlPSLHierarchicalHDLName, ## PSL_Hierarchical_HDL_Name
  vhdlPSLImplicationFLProperty, ## PSL_Implication_FL_Property
  vhdlPSLIndexRange,        ## PSL_Index_Range
  vhdlPSLInheritSpec,       ## PSL_Inherit_Spec
  vhdlPSLInvariantFLProperty, ## PSL_Invariant_FL_Property
  vhdlPSLLogicalFLProperty, ## PSL_Logical_FL_Property
  vhdlPSLNumber,            ## PSL_Number
  vhdlPSLOcurrenceFLProperty, ## PSL_Ocurrence_FL_Property
  vhdlPSLParameterSpecification, ## PSL_Parameter_Specification
  vhdlPSLParameterizedProperty, ## PSL_Parameterized_Property
  vhdlPSLParameterizedSERE, ## PSL_Parameterized_SERE
  vhdlPSLParametersDefinition, ## PSL_Parameters_Definition
  vhdlPSLParenthesizedFLProperty, ## PSL_Parenthesized_FL_Property
  vhdlPSLPropertyDeclaration, ## PSL_Property_Declaration
  vhdlPSLPropertyInstance,  ## PSL_Property_Instance
  vhdlPSLPropertyReplicator, ## PSL_Property_Replicator
  vhdlPSLRepeatedSERE,      ## PSL_Repeated_SERE
  vhdlPSLRestrictDirective, ## PSL_Restrict_Directive
  vhdlPSLRestrictGuaranteeDirective, ## PSL_Restrict_Guarantee_Directive
  vhdlPSLSequenceDeclaration, ## PSL_Sequence_Declaration
  vhdlPSLSequenceInstance,  ## PSL_Sequence_Instance
  vhdlPSLSequentialFLProperty, ## PSL_Sequential_FL_Property
  vhdlPSLSimpleSERE,        ## PSL_Simple_SERE
  vhdlPSLStrongFairnessDirective, ## PSL_Strong_Fairness_Directive
  vhdlPSLSuffixImplicationFLProperty, ## PSL_Suffix_Implication_FL_Property
  vhdlPSLTemporalParameterSpecification, ## PSL_Temporal_Parameter_Specification
  vhdlPSLTerminationFLProperty, ## PSL_Termination_FL_Property
  vhdlPSLTypeClass,         ## PSL_Type_Class
  vhdlPSLUnionExpression,   ## PSL_Union_Expression
  vhdlPSLVMOde,             ## PSL_VMode
  vhdlPSLVPRop,             ## PSL_VProp
  vhdlPSLVUNit,             ## PSL_VUnit
  vhdlPSLValueSet,          ## PSL_Value_Set
  vhdlPSLVerificationUnitBody, ## PSL_Verification_Unit_Body
  vhdlAbsolutePathname,     ## absolute_pathname
  vhdlAccessTypeDefinition, ## access_type_definition
  vhdlAggregate,            ## aggregate
  vhdlAliasDeclaration,     ## alias_declaration
  vhdlAll,                  ## all
  vhdlAllocator,            ## allocator
  vhdlAlternativeConditionalExpressions, ## alternative_conditional_expressions
  vhdlAlternativeConditionalWaveforms, ## alternative_conditional_waveforms
  vhdlAlternativeSelectedExpressions, ## alternative_selected_expressions
  vhdlAlternativeSelectedWaveforms, ## alternative_selected_waveforms
  vhdlAmbiguousName,        ## ambiguous_name
  vhdlArchitectureBody,     ## architecture_body
  vhdlArrayConstraint,      ## array_constraint
  vhdlAscendingRange,       ## ascending_range
  vhdlAssertionStatement,   ## assertion_statement
  vhdlAssociationList,      ## association_list
  vhdlAttributeDeclaration, ## attribute_declaration
  vhdlAttributeName,        ## attribute_name
  vhdlAttributeSpecification, ## attribute_specification
  vhdlBase,                 ## base
  vhdlBasedInteger,         ## based_integer
  vhdlBasedLiteral,         ## based_literal
  vhdlBasedReal,            ## based_real
  vhdlBindingIndication,    ## binding_indication
  vhdlBitStringLiteral,     ## bit_string_literal
  vhdlBitValue,             ## bit_value
  vhdlBlockConfiguration,   ## block_configuration
  vhdlBlockHeader,          ## block_header
  vhdlBlockSpecification,   ## block_specification
  vhdlBlockStatement,       ## block_statement
  vhdlBoolean,              ## boolean
  vhdlCaseGenerateAlternative, ## case_generate_alternative
  vhdlCaseGenerateStatement, ## case_generate_statement
  vhdlCaseStatement,        ## case_statement
  vhdlCaseStatementAlternative, ## case_statement_alternative
  vhdlCharacterLiteral,     ## character_literal
  vhdlChoices,              ## choices
  vhdlComment,              ## comment
  vhdlComponentConfiguration, ## component_configuration
  vhdlComponentDeclaration, ## component_declaration
  vhdlComponentHeader,      ## component_header
  vhdlComponentInstantiation, ## component_instantiation
  vhdlComponentInstantiationStatement, ## component_instantiation_statement
  vhdlComponentMapAspect,   ## component_map_aspect
  vhdlConcatenation,        ## concatenation
  vhdlConcurrentStatementPart, ## concurrent_statement_part
  vhdlCondition,            ## condition
  vhdlConditionalConcurrentSignalAssignment, ## conditional_concurrent_signal_assignment
  vhdlConditionalExpression, ## conditional_expression
  vhdlConditionalExpressions, ## conditional_expressions
  vhdlConditionalForceAssignment, ## conditional_force_assignment
  vhdlConditionalVariableAssignment, ## conditional_variable_assignment
  vhdlConditionalWaveformAssignment, ## conditional_waveform_assignment
  vhdlConditionalWaveforms, ## conditional_waveforms
  vhdlConfigurationDeclaration, ## configuration_declaration
  vhdlConfigurationInstantiation, ## configuration_instantiation
  vhdlConfigurationSpecification, ## configuration_specification
  vhdlConstantDeclaration,  ## constant_declaration
  vhdlConstantInterfaceDeclaration, ## constant_interface_declaration
  vhdlConstrainedArrayDefinition, ## constrained_array_definition
  vhdlContextClause,        ## context_clause
  vhdlContextDeclaration,   ## context_declaration
  vhdlContextList,          ## context_list
  vhdlContextReference,     ## context_reference
  vhdlDeclarativePart,      ## declarative_part
  vhdlDefault,              ## default
  vhdlDefaultExpression,    ## default_expression
  vhdlDelayMechanism,       ## delay_mechanism
  vhdlDescendingRange,      ## descending_range
  vhdlDesignFile,           ## design_file
  vhdlDesignUnit,           ## design_unit
  vhdlDisconnectionSpecification, ## disconnection_specification
  vhdlElementDeclaration,   ## element_declaration
  vhdlElse,                 ## else
  vhdlElseGenerate,         ## else_generate
  vhdlElsif,                ## elsif
  vhdlElsifGenerate,        ## elsif_generate
  vhdlEntityClass,          ## entity_class
  vhdlEntityClassEntry,     ## entity_class_entry
  vhdlEntityClassEntryList, ## entity_class_entry_list
  vhdlEntityDeclaration,    ## entity_declaration
  vhdlEntityDesignator,     ## entity_designator
  vhdlEntityHeader,         ## entity_header
  vhdlEntityInstantiation,  ## entity_instantiation
  vhdlEntityNameList,       ## entity_name_list
  vhdlEntitySpecification,  ## entity_specification
  vhdlEnumerationTypeDefinition, ## enumeration_type_definition
  vhdlExitStatement,        ## exit_statement
  vhdlExponentiation,       ## exponentiation
  vhdlExpression,           ## expression
  vhdlExpressionList,       ## expression_list
  vhdlExternalConstantName, ## external_constant_name
  vhdlExternalSignalName,   ## external_signal_name
  vhdlExternalVariableName, ## external_variable_name
  vhdlFactor,               ## factor
  vhdlFileDeclaration,      ## file_declaration
  vhdlFileInterfaceDeclaration, ## file_interface_declaration
  vhdlFileOpenInformation,  ## file_open_information
  vhdlFileOpenKind,         ## file_open_kind
  vhdlFileTypeDefinition,   ## file_type_definition
  vhdlForGenerateStatement, ## for_generate_statement
  vhdlForLoop,              ## for_loop
  vhdlForceMode,            ## force_mode
  vhdlFullTypeDeclaration,  ## full_type_declaration
  vhdlFunctionBody,         ## function_body
  vhdlFunctionCall,         ## function_call
  vhdlFunctionDeclaration,  ## function_declaration
  vhdlFunctionInstantiationDeclaration, ## function_instantiation_declaration
  vhdlFunctionInterfaceDeclaration, ## function_interface_declaration
  vhdlFunctionParameterClause, ## function_parameter_clause
  vhdlGenerateStatementBody, ## generate_statement_body
  vhdlGenerateStatementElement, ## generate_statement_element
  vhdlGenericClause,        ## generic_clause
  vhdlGenericMapAspect,     ## generic_map_aspect
  vhdlGroupConstituentList, ## group_constituent_list
  vhdlGroupDeclaration,     ## group_declaration
  vhdlGroupTemplateDeclaration, ## group_template_declaration
  vhdlGuardedSignalSpecification, ## guarded_signal_specification
  vhdlIdentifierList,       ## identifier_list
  vhdlIf,                   ## if
  vhdlIfGenerate,           ## if_generate
  vhdlIfGenerateStatement,  ## if_generate_statement
  vhdlIfStatement,          ## if_statement
  vhdlIllegal,              ## illegal
  vhdlIncompleteTypeDeclaration, ## incomplete_type_declaration
  vhdlIndexConstraint,      ## index_constraint
  vhdlIndexSubtypeDefinition, ## index_subtype_definition
  vhdlInertial,             ## inertial
  vhdlInertialExpression,   ## inertial_expression
  vhdlInstantiationList,    ## instantiation_list
  vhdlInteger,              ## integer
  vhdlIntegerDecimal,       ## integer_decimal
  vhdlInterfaceSubprogramDefault, ## interface_subprogram_default
  vhdlLabel,                ## label
  vhdlLength,               ## length
  vhdlLibraryClause,        ## library_clause
  vhdlLogicalExpression,    ## logical_expression
  vhdlLogicalNameList,      ## logical_name_list
  vhdlLoopStatement,        ## loop_statement
  vhdlMode,                 ## mode
  vhdlNamedAssociationElement, ## named_association_element
  vhdlNamedElementAssociation, ## named_element_association
  vhdlNextStatement,        ## next_statement
  vhdlNull,                 ## null
  vhdlNullStatement,        ## null_statement
  vhdlNumericTypeDefinition, ## numeric_type_definition
  vhdlOpen,                 ## open
  vhdlOperatorSymbol,       ## operator_symbol
  vhdlOthers,               ## others
  vhdlPackageBody,          ## package_body
  vhdlPackageDeclaration,   ## package_declaration
  vhdlPackageHeader,        ## package_header
  vhdlPackageInstantiationDeclaration, ## package_instantiation_declaration
  vhdlPackageInterfaceDeclaration, ## package_interface_declaration
  vhdlPackageMapAspect,     ## package_map_aspect
  vhdlPackagePathname,      ## package_pathname
  vhdlParameterSpecification, ## parameter_specification
  vhdlParenthesizedExpression, ## parenthesized_expression
  vhdlParenthesizedResolution, ## parenthesized_resolution
  vhdlPathnameElement,      ## pathname_element
  vhdlPhysicalLiteral,      ## physical_literal
  vhdlPhysicalTypeDefinition, ## physical_type_definition
  vhdlPortClause,           ## port_clause
  vhdlPortMapAspect,        ## port_map_aspect
  vhdlPositionalAssociationElement, ## positional_association_element
  vhdlPositionalElementAssociation, ## positional_element_association
  vhdlPredefinedDesignator, ## predefined_designator
  vhdlPrimaryUnitDeclaration, ## primary_unit_declaration
  vhdlProcedureBody,        ## procedure_body
  vhdlProcedureCallStatement, ## procedure_call_statement
  vhdlProcedureDeclaration, ## procedure_declaration
  vhdlProcedureInstantiationDeclaration, ## procedure_instantiation_declaration
  vhdlProcedureInterfaceDeclaration, ## procedure_interface_declaration
  vhdlProcedureParameterClause, ## procedure_parameter_clause
  vhdlProcessStatement,     ## process_statement
  vhdlProtectedTypeBody,    ## protected_type_body
  vhdlProtectedTypeDeclaration, ## protected_type_declaration
  vhdlQualifiedExpression,  ## qualified_expression
  vhdlRangeConstraint,      ## range_constraint
  vhdlRealDecimal,          ## real_decimal
  vhdlRecordConstraint,     ## record_constraint
  vhdlRecordElementConstraint, ## record_element_constraint
  vhdlRecordElementResolution, ## record_element_resolution
  vhdlRecordResolution,     ## record_resolution
  vhdlRecordTypeDefinition, ## record_type_definition
  vhdlReduction,            ## reduction
  vhdlRelation,             ## relation
  vhdlRelativePathname,     ## relative_pathname
  vhdlReportStatement,      ## report_statement
  vhdlResolutionFunction,   ## resolution_function
  vhdlReturn,               ## return
  vhdlReturnStatement,      ## return_statement
  vhdlSecondaryUnitDeclaration, ## secondary_unit_declaration
  vhdlSelectedConcurrentSignalAssignment, ## selected_concurrent_signal_assignment
  vhdlSelectedExpressions,  ## selected_expressions
  vhdlSelectedForceAssignment, ## selected_force_assignment
  vhdlSelectedName,         ## selected_name
  vhdlSelectedVariableAssignment, ## selected_variable_assignment
  vhdlSelectedWaveformAssignment, ## selected_waveform_assignment
  vhdlSelectedWaveforms,    ## selected_waveforms
  vhdlSensitivityList,      ## sensitivity_list
  vhdlSeparator,            ## separator
  vhdlSequenceOfStatements, ## sequence_of_statements
  vhdlSeverityExpression,   ## severity_expression
  vhdlSharedVariableDeclaration, ## shared_variable_declaration
  vhdlShiftExpression,      ## shift_expression
  vhdlSign,                 ## sign
  vhdlSignalDeclaration,    ## signal_declaration
  vhdlSignalInterfaceDeclaration, ## signal_interface_declaration
  vhdlSignalKind,           ## signal_kind
  vhdlSignalList,           ## signal_list
  vhdlSignature,            ## signature
  vhdlSimpleConcurrentSignalAssignment, ## simple_concurrent_signal_assignment
  vhdlSimpleExpression,     ## simple_expression
  vhdlSimpleForceAssignment, ## simple_force_assignment
  vhdlSimpleReleaseAssignment, ## simple_release_assignment
  vhdlSimpleVariableAssignment, ## simple_variable_assignment
  vhdlSimpleWaveformAssignment, ## simple_waveform_assignment
  vhdlSliceName,            ## slice_name
  vhdlStringExpression,     ## string_expression
  vhdlStringLiteral,        ## string_literal
  vhdlSubprogramHeader,     ## subprogram_header
  vhdlSubprogramMapAspect,  ## subprogram_map_aspect
  vhdlSubtypeDeclaration,   ## subtype_declaration
  vhdlSubtypeIndication,    ## subtype_indication
  vhdlTerm,                 ## term
  vhdlTimeExpression,       ## time_expression
  vhdlToolDirective,        ## tool_directive
  vhdlTransport,            ## transport
  vhdlTypeInterfaceDeclaration, ## type_interface_declaration
  vhdlTypeMark,             ## type_mark
  vhdlUnaffected,           ## unaffected
  vhdlUnboundedArrayDefinition, ## unbounded_array_definition
  vhdlUseClause,            ## use_clause
  vhdlVariableDeclaration,  ## variable_declaration
  vhdlVariableInterfaceDeclaration, ## variable_interface_declaration
  vhdlVerificationUnitBindingIndication, ## verification_unit_binding_indication
  vhdlVerificationUnitList, ## verification_unit_list
  vhdlWaitStatement,        ## wait_statement
  vhdlWaveformElement,      ## waveform_element
  vhdlWaveforms,            ## waveforms
  vhdlWhileLoop,            ## while_loop
  vhdlExclamationTok,       ## !
  vhdlExclamationEqualTok,  ## !=
  vhdlQuoteTok,             ## "
  vhdlHashTok,              ## #
  vhdlAmpersandTok,         ## &
  vhdlDoubleAmpersandTok,   ## &&
  vhdlApostropheTok,        ## '
  vhdlLParTok,              ## (
  vhdlRParTok,              ## )
  vhdlAsteriskTok,          ## *
  vhdlDoubleAsteriskTok,    ## **
  vhdlPlusTok,              ## +
  vhdlCommaTok,             ## ,
  vhdlMinusTok,             ## -
  vhdlDoubleMinusTok,       ## --
  vhdlMinusGreaterThanTok,  ## ->
  vhdlDotTok,               ## .
  vhdlSlashTok,             ## /
  vhdlSlashAsteriskTok,     ## /*
  vhdlSlashEqualTok,        ## /=
  vhdl0Tok,                 ## 0
  vhdl1Tok,                 ## 1
  vhdl2Tok,                 ## 2
  vhdl3Tok,                 ## 3
  vhdl4Tok,                 ## 4
  vhdl5Tok,                 ## 5
  vhdl6Tok,                 ## 6
  vhdl7Tok,                 ## 7
  vhdl8Tok,                 ## 8
  vhdl9Tok,                 ## 9
  vhdlColonTok,             ## :
  vhdlColonEqualTok,        ## :=
  vhdlSemicolonTok,         ## ;
  vhdlLessThanTok,          ## <
  vhdlLessThanMinusGreaterThanTok, ## <->
  vhdlDoubleLessThanTok,    ## <<
  vhdlLessThanEqualTok,     ## <=
  vhdlEqualTok,             ## =
  vhdlDoubleEqualTok,       ## ==
  vhdlEqualGreaterThanTok,  ## =>
  vhdlGreaterThanTok,       ## >
  vhdlGreaterThanEqualTok,  ## >=
  vhdlDoubleGreaterThanTok, ## >>
  vhdlQuestionTok,          ## ?
  vhdlQuestionSlashEqualTok, ## ?/=
  vhdlQuestionLessThanTok,  ## ?<
  vhdlQuestionLessThanEqualTok, ## ?<=
  vhdlQuestionEqualTok,     ## ?=
  vhdlQuestionGreaterThanTok, ## ?>
  vhdlQuestionGreaterThanEqualTok, ## ?>=
  vhdlDoubleQuestionTok,    ## ??
  vhdlAtTok,                ## @
  vhdlLBrackTok,            ## [
  vhdlRBrackTok,            ## ]
  vhdlAccentDotTok,         ## ^.
  vhdlUnderscoreTok,        ## _
  vhdlBacktickTok,          ## `
  vhdlAbortTok,             ## abort
  vhdlAbsTok,               ## abs
  vhdlAccessTok,            ## access
  vhdlActiveTok,            ## active
  vhdlAfterTok,             ## after
  vhdlAliasTok,             ## alias
  vhdlAllTok,               ## all
  vhdlAlwaysTok,            ## always
  vhdlAndTok,               ## and
  vhdlAny,                  ## any
  vhdlArchitectureTok,      ## architecture
  vhdlArrayTok,             ## array
  vhdlAscendingTok,         ## ascending
  vhdlAssertTok,            ## assert
  vhdlAssumeTok,            ## assume
  vhdlAssumeGuaranteeTok,   ## assume_guarantee
  vhdlAsyncAbortTok,        ## async_abort
  vhdlAttributeTok,         ## attribute
  vhdlBaseTok,              ## base
  vhdlBaseSpecifier,        ## base_specifier
  vhdlBeforeTok,            ## before
  vhdlBeginTok,             ## begin
  vhdlBitTok,               ## bit
  vhdlBitVectorTok,         ## bit_vector
  vhdlBlockTok,             ## block
  vhdlBodyTok,              ## body
  vhdlBooleanTok,           ## boolean
  vhdlBufferTok,            ## buffer
  vhdlBusTok,               ## bus
  vhdlCaseTok,              ## case
  vhdlClockTok,             ## clock
  vhdlComponentTok,         ## component
  vhdlConfigurationTok,     ## configuration
  vhdlConstTok,             ## const
  vhdlConstantTok,          ## constant
  vhdlContextTok,           ## context
  vhdlCountonesTok,         ## countones
  vhdlCoverTok,             ## cover
  vhdlDefaultTok,           ## default
  vhdlDelayedTok,           ## delayed
  vhdlDescendingTok,        ## descending
  vhdlDisconnectTok,        ## disconnect
  vhdlDontCare,             ## dont_care
  vhdlDowntoTok,            ## downto
  vhdlDrivingTok,           ## driving
  vhdlDrivingValueTok,      ## driving_value
  vhdlElementTok,           ## element
  vhdlElseTok,              ## else
  vhdlElsifTok,             ## elsif
  vhdlEndTok,               ## end
  vhdlEndedTok,             ## ended
  vhdlEntityTok,            ## entity
  vhdlEscapeSequence,       ## escape_sequence
  vhdlEventTok,             ## event
  vhdlEventuallyTok,        ## eventually
  vhdlExitTok,              ## exit
  vhdlExponent,             ## exponent
  vhdlExtendedIdentifier,   ## extended_identifier
  vhdlExtendedSimpleName,   ## extended_simple_name
  vhdlFairnessTok,          ## fairness
  vhdlFellTok,              ## fell
  vhdlFileTok,              ## file
  vhdlForTok,               ## for
  vhdlForallTok,            ## forall
  vhdlForceTok,             ## force
  vhdlFunctionTok,          ## function
  vhdlGenerateTok,          ## generate
  vhdlGenericTok,           ## generic
  vhdlGroupTok,             ## group
  vhdlGuardedTok,           ## guarded
  vhdlHdltypeTok,           ## hdltype
  vhdlHighTok,              ## high
  vhdlIdentifier,           ## identifier
  vhdlIfTok,                ## if
  vhdlImageTok,             ## image
  vhdlImpureTok,            ## impure
  vhdlInTok,                ## in
  vhdlInertialTok,          ## inertial
  vhdlInheritTok,           ## inherit
  vhdlInoutTok,             ## inout
  vhdlInstanceNameTok,      ## instance_name
  vhdlIsTok,                ## is
  vhdlIsunknownTok,         ## isunknown
  vhdlLabelTok,             ## label
  vhdlLastActiveTok,        ## last_active
  vhdlLastEventTok,         ## last_event
  vhdlLastValueTok,         ## last_value
  vhdlLeftTok,              ## left
  vhdlLeftofTok,            ## leftof
  vhdlLengthTok,            ## length
  vhdlLibraryTok,           ## library
  vhdlLinkageTok,           ## linkage
  vhdlLiteralTok,           ## literal
  vhdlLoopTok,              ## loop
  vhdlLowTok,               ## low
  vhdlMapTok,               ## map
  vhdlModTok,               ## mod
  vhdlNandTok,              ## nand
  vhdlNeverTok,             ## never
  vhdlNewTok,               ## new
  vhdlNextTok,              ## next
  vhdlNextATok,             ## next_a
  vhdlNextETok,             ## next_e
  vhdlNextEventTok,         ## next_event
  vhdlNextEventATok,        ## next_event_a
  vhdlNextEventETok,        ## next_event_e
  vhdlNondetTok,            ## nondet
  vhdlNondetVectorTok,      ## nondet_vector
  vhdlNorTok,               ## nor
  vhdlNotTok,               ## not
  vhdlNullTok,              ## null
  vhdlNumericTok,           ## numeric
  vhdlOfTok,                ## of
  vhdlOnTok,                ## on
  vhdlOnehotTok,            ## onehot
  vhdlOnehot0Tok,           ## onehot0
  vhdlOpenTok,              ## open
  vhdlOrTok,                ## or
  vhdlOthersTok,            ## others
  vhdlOutTok,               ## out
  vhdlPackageTok,           ## package
  vhdlParameterTok,         ## parameter
  vhdlPathNameTok,          ## path_name
  vhdlPortTok,              ## port
  vhdlPosTok,               ## pos
  vhdlPostponedTok,         ## postponed
  vhdlPredTok,              ## pred
  vhdlPrevTok,              ## prev
  vhdlProcedureTok,         ## procedure
  vhdlProcessTok,           ## process
  vhdlPropertyTok,          ## property
  vhdlProtectedTok,         ## protected
  vhdlPureTok,              ## pure
  vhdlQuietTok,             ## quiet
  vhdlRangeTok,             ## range
  vhdlRecordTok,            ## record
  vhdlRegisterTok,          ## register
  vhdlRejectTok,            ## reject
  vhdlReleaseTok,           ## release
  vhdlRemTok,               ## rem
  vhdlReportTok,            ## report
  vhdlRestrictTok,          ## restrict
  vhdlRestrictGuaranteeTok, ## restrict_guarantee
  vhdlReturnTok,            ## return
  vhdlReverseRangeTok,      ## reverse_range
  vhdlRightTok,             ## right
  vhdlRightofTok,           ## rightof
  vhdlRolTok,               ## rol
  vhdlRorTok,               ## ror
  vhdlRoseTok,              ## rose
  vhdlSame,                 ## same
  vhdlSelectTok,            ## select
  vhdlSemicolon,            ## semicolon
  vhdlSequenceTok,          ## sequence
  vhdlSeverityTok,          ## severity
  vhdlSharedTok,            ## shared
  vhdlSignalTok,            ## signal
  vhdlSimpleNameTok,        ## simple_name
  vhdlSimpleName,           ## simple_name
  vhdlSlaTok,               ## sla
  vhdlSllTok,               ## sll
  vhdlSraTok,               ## sra
  vhdlSrlTok,               ## srl
  vhdlStableTok,            ## stable
  vhdlStringTok,            ## string
  vhdlStrongTok,            ## strong
  vhdlSubtypeTok,           ## subtype
  vhdlSuccTok,              ## succ
  vhdlSyncAbortTok,         ## sync_abort
  vhdlThenTok,              ## then
  vhdlToTok,                ## to
  vhdlTransactionTok,       ## transaction
  vhdlTransportTok,         ## transport
  vhdlTypeTok,              ## type
  vhdlUnaffectedTok,        ## unaffected
  vhdlUnionTok,             ## union
  vhdlUnitsTok,             ## units
  vhdlUnresolved,           ## unresolved
  vhdlUntilTok,             ## until
  vhdlUseTok,               ## use
  vhdlValTok,               ## val
  vhdlValueTok,             ## value
  vhdlVariableTok,          ## variable
  vhdlVmodeTok,             ## vmode
  vhdlVpropTok,             ## vprop
  vhdlVunitTok,             ## vunit
  vhdlWaitTok,              ## wait
  vhdlWhenTok,              ## when
  vhdlWhileTok,             ## while
  vhdlWithTok,              ## with
  vhdlWithinTok,            ## within
  vhdlXnorTok,              ## xnor
  vhdlXorTok,               ## xor
  vhdlLCurlyTok,            ## {
  vhdlPipeTok,              ## |
  vhdlPipeMinusGreaterThanTok, ## |->
  vhdlPipeEqualGreaterThanTok, ## |=>
  vhdlRCurlyTok,            ## }
  vhdlSyntaxError            ## Tree-sitter parser syntax error
TsVhdlNode = distinct TSNode
VhdlParser = distinct PtsParser
VhdlNode = HtsNode[TsVhdlNode, VhdlNodeKind]

Procs

proc kind(node: TsVhdlNode): VhdlNodeKind {...}{.noSideEffect, raises: [Exception],
    tags: [RootEffect].}
proc tsNodeType(node: TsVhdlNode): string {...}{.raises: [], tags: [].}
proc newTsVhdlParser(): VhdlParser {...}{.raises: [], tags: [].}
proc parseString(parser: VhdlParser; str: string): TsVhdlNode {...}{.raises: [],
    tags: [].}
proc parseTsVhdlString(str: string): TsVhdlNode {...}{.raises: [], tags: [].}
proc treeReprTsVhdl(str: string; unnamed: bool = false): string {...}{.
    raises: [ValueError, Exception, NilArgumentError], tags: [RootEffect].}
proc toHtsNode(node: TsVhdlNode; str: ptr string): HtsNode[TsVhdlNode,
    VhdlNodeKind] {...}{.raises: [NilArgumentError, Exception], tags: [RootEffect].}
proc toHtsTree(node: TsVhdlNode; str: ptr string): VhdlNode {...}{.
    raises: [NilArgumentError, Exception], tags: [RootEffect].}
proc parseVhdlString(str: ptr string; unnamed: bool = false): VhdlNode {...}{.
    raises: [NilArgumentError, Exception], tags: [RootEffect].}
proc parseVhdlString(str: string; unnamed: bool = false): VhdlNode {...}{.
    raises: [NilArgumentError, Exception], tags: [RootEffect].}

Funcs

func isNil(node: TsVhdlNode): bool {...}{.raises: [], tags: [].}
func len(node: TsVhdlNode; unnamed: bool = false): int {...}{.raises: [], tags: [].}
func has(node: TsVhdlNode; idx: int; unnamed: bool = false): bool {...}{.raises: [],
    tags: [].}
func `$`(node: TsVhdlNode): string {...}{.raises: [Exception], tags: [RootEffect].}
func `[]`(node: TsVhdlNode; idx: int; kind: VhdlNodeKind | set[VhdlNodeKind]): TsVhdlNode